Samsung Elec, SK hynix¡¯s memory supremacy challenged by Micron tech advance

2021.06.07 10:11:39 | 2021.06.07 10:12:09

À̹ÌÁö È®´ë
South Korea¡¯s supremacy in mainstay memory has come under challenge as American No. 3 Micron Technology Inc. makes faster migration to next-gen chipmaking on top of Samsung Electronics¡¯ widening gap in non-memory with industry No. 1 TSMA of Taiwan.

During a keynote speech at Taiwan¡¯s annual Computex computer show last week, Micron Technology CEO Sanjay Mehrotra announced mass production of world¡¯s first 1¥á node-based LPDDR4xDRAM. The U.S.-based Micron has been serving 1¥á nano DRAM to primary customers including AMD and Acer. Its 1¥á nano DRAM is dubbed to be equivalent to 14-nano DRAM of Samsung Electronics and SK hynix. The American memory chip producer would be the first in the world to mass deliver 14-nano DRAM.

Mehrotra also unveiled volume delivery of Micron¡¯s new solid-state drives (SSDs) built with world¡¯s first 176-layer 3-dimensional NAND flash, several months after it began mass production of world¡¯s first 176-layer NAND in November last year.

In another aggressive push, Micron has its eyes on expanding global market share. The top U.S. memory chip producer has also decided to expand its A3 DRAM facility in Taichung, Taiwan, this month.

According to industry sources, A3 is capable of adding monthly 50,000 to 60,000 12-inch wafer sheets. A3 is known to serve as Micron¡¯s production base for 1¥á nano DRAM.

Although it is difficult to directly compare technologies of Korean and U.S. memory giants, Korean players nevertheless would have been shocked by Micron¡¯s headway in the next-gen processing without the reliance on extreme ultraviolet lithography, industry pundits noted.

Samsung Electronics and SK hynix depend on EUV equipment for chipmaking on 10-nano and beyond. EUV – priced at over 200 billion won ($181 million) per unit – is exclusively supplied by Dutch ASML. Only Taiwan¡¯s TSMC, Samsung Electronics, and SK hynix have been able to afford chipmaking with the lithography equipment. Yet Micron was able to push nano technology further with previous generation deep ultraviolet (DUV) lithography system.

Samsung Electronics and SK hynix have also been behind Micron in mass production of 176-layer NAND flash chips. SK hynix announced December last year that it has completed development of 176-layer NAND but has yet to embark on mass production.

À̹ÌÁö È®´ë
Samsung Electronics and SK hynix have set second half of this year to churn out chips on 176-layers, but industry expects chips to roll out from the end of the year. The two DRAM leaders plan to ship out DRAM on 14-nano wafers later this year.

Samsung Electronics which has been the frontrunner in chip technology has become relatively less aggressive on the memory front due to its focus on non-memory system chips.

Samsung Electronics¡¯ dominant share in memory sector has been waning due to its delay in commercialization of 14-nano DRAM and 176-layer NAND chips, said Doh Hyun-woo, an analyst at NH Investment & Securities.

During leadership void at Samsung Electronics with its chief behind bars since January, Micron accelerated technology development by hiring memory processing engineers from Korea and Japan.

Japan¡¯s daily newspaper Nikkei reported that Micron has hired many experienced engineers that worked for Kioxia from Samsung Electronics and SK hynix and located them in production technology development in regional bases in the U.S. and Japan.

It however remains to be seen whether Micron¡¯s 1¥á nano DRAM and 176-layer NAND match potential performances of the chips of Samsung Electronics and SK hynix.

By Lee Jong-hyuk and Lee Eun-joo

[¨Ï Pulse by Maeil Business Newspaper & mk.co.kr, All rights reserved]